2013-12-05から1日間の記事一覧

[verilog][wreal] wrealを使ったアナログ風シミュレーション

この記事は、HDL Advent Calendar 2013の5日目の記事です。 こんばんは@mizutomoです。参加者の皆様がデジタルバリバリなので、gkbrですが、ぼくは、デジタルのことはほとんど知らないので、臆せずにアナログ寄りの記事を書いていきたいと思います。 wrealっ…